[ragel-users] Using Ragel for state machine

Neil Webster nwebster at biopeak.com
Thu Oct 8 16:25:42 UTC 2009


Hi,

Is it possible to generate a state machine from the code below? I am
getting stuck with using engines before they have been defined and as
far as I can figure out, no matter how I order things this will still
happen.

%%{

  machine adcpState ;

  pressUser = 1;
  insertUsb = 2;
  removeUsb = 3;
        
  Idle = pressUser Recording ;

  MassStorage = removeUsb Idle ;

  Recording = pressUser Idle
             | insertUsb MassStorage
             ;

  main := Idle ;

  write data;

}%%







More information about the ragel-users mailing list